CONNECT WITH US

US-initiated joint export curbs on China leave critical loopholes?

Amanda Liang, analysis; Willis Ke, DIGITIMES Asia 0

Credit: AFP

The Netherlands and Japan reportedly have struck a deal with the US to jointly restrict exports of chipmaking tools to China, which will further cripple the development of China's semiconductor industry as makers there will be denied access to even the immersion DUV lithography machines, in addition to more-advanced EUV models. But in the US-initiated stringent export curbs on China, is there any critical loophole that Chinese semiconductor players can use to cushion impacts? This seems to be an issue worthy of a close examination.

In recent years, the US has stepped up efforts to hobble the development of China's semiconductor industry. It has not only carefully designed chokepoint-like mechanisms and systematic containment strategies and actions, but also mobilized its allies to participate.

After imposing in October 2022 sweeping export restrictions on shipments of advanced chipmaking tools and technologies to China, the US has become eager to bring on board the Netherlands and Japan, home to chipmaking powerhouses such as ASML and Tokyo Electron, among others, to have the restrictions work more effectively.

The recent agreement the US has reached with the Netherlands and Japan is undoubtedly a major development in Washington's efforts to deter Beijing from supercharging its chip industry and boosting its military capabilities.

A study report from China-based Anbound Consulting points out that with the trilateral agreement, export restrictions against the Chinese chip industry will become more stringent as the pact will pave the way for the US to further expand the scope of sanctions on China in the future. This, to some extent, coincides with the viewpoint of a report presented by the US research firm SemiAnalysis based on the perspective of technology - the US government's current export control measures still leave considerable gaps, depending on how Washington will play the game of technological hegemony in the future.

The US leads in the supply of wafer fabrication equipment, commanding majority market shares for deposition, etching, process control, chemical mechanical polishing (CMP) and ion implantation facilities. But many US equipment makers including Applied Materials, Lam Research and KLA complained to news media that the comprehensive unilateral export controls imposed by the government in October 2022 could allow their overseas competitors like ASM International (ASMI) of the Netherlands and Tokyo Electron in Japan to expand market shares.

They also stressed that without restricting Dutch maker ASML and Japan-based Nikon and Canon from shipping DUV lithography equipment to China, the US-imposed unilateral export restrictions could hardly fulfill their meant functions. ASML is on a par with Nikon in the market for immersion DUV equipment, while Canon, though not selling DUV machines, still competes fiercely with ASML in the dry lithography system market.

Key question: what process equipment can China buy in the future?

Generally speaking, ArF immersion scanners can be used for exposure applications ranging from 7nm to 38nm nodes, with the modified model being the most-advanced DUV system that can support 7nm process exposure. In addition, dry ArF systems can serve the exposure needs of 65nm and other mature processes.

The main way to constrain the rapid advancement of China's semiconductor industry is through equipment export control. The trilateral agreement between the US, Japan and the Netherlands has been finalized to restrict the channels for Chinese companies to obtain DUV lithography machines, but to what extent the Biden administration will exercise its technology chokepoint on China through the agreement has yet to be officially announced. Considering that DUV is a very broad technology, covering krypton fluoride (KrF), dry argon fluoride (ArF), and argon fluoride immersion (ArFi) exposure machines, it remains to be seen what lithography machines Chinese semiconductor companies will remain able to obtain in the future.

As early as 1988, Nikon released the first DUV equipment using KrF exposure technology, dubbed NSR-1505EX. The latest trilateral export restriction agreement should not include such an old technology. But since KrF-based DUV machines can still play a supporting role in the mass production of 14nm chips, will the three countries ban the export of such machines to China?

On the other hand, the latest generation of immersion DUV system NXT2100i released by ASML in 2022 may be one of the key models targeted by the Biden administration for prohibited sales to China. However, ASML still claims that it is doing normal business with Chinese clients, except for its EUV machines. At the moment, ArFi DUV machines contribute 34% of ASML total revenues, second only to 46% for EUV models. Accordingly, its business revenues will take a serious hit if immersion DUV machines are banned from being exported to China.

US export restriction rules can be loose or tight

If the US government aims to prevent China from obtaining 14/7/5nm process technologies, then the ban on China-bound exports must cover different levels of tools capable of handling these process nodes. At the moment, the minimum metal pitch of TSMC's 16/12 nm process technology is 64nm, compared to the corresponding figure of 40nm for 7nm process and 28nm for 5nm node. This means any lithography equipment that can achieve a minimum metal pitch of 64/40/28nm must be covered in the 5/7/14nm process export ban.

In other words, both ASML and Nikon must be banned from exporting to China their ArFi DUV machines that can process 5nm chips with a minimum metal pitch of 28nm, because such machines can help Chinese semiconductor makers accomplish their most-concerned technology breakthroughs.

In 2019, the then Trump administration successfully blocked ASML from shipping EUV machines to China's top foundry SMIC by having the Dutch government refuse to renew export license. But SMIC still managed to materialize 7nm chips production with SAQP and ArFi exposure machines, without even using EUV equipment, just as what TSMC did in commercializing its first-generation 7nm process. Such a practice has proven feasible for SMIC to produce 7nm chips, despite its yield rate commercial production scale unable to match TSMC's

China worries about export ban on mature process equipment

Similar export control considerations should also be given to both ArFi and dry ArF DUV machines that can produce 7nm chips with a metal pitch of 40nm, as well as to both dry ArF and KrF models that can process 14nm chips with 64nm in metal pitch, if the US's goal is to effectively choke 14/7/5nm chips production by Chinese makers.

From a technological perspective, all the channels to access any type of DUV system that can achieve minimum metal pitch feature sizes as mentioned above should be blocked against Chinese semiconductor makers.

If that happens, Chinese foundry houses will lose the support of three major DUV systems--KrF, dry ArF and ArFi, and may have to retreat to the 40/45nm generation. They could even become unable to invest in expanding new production lines for 14-38nm chips, and China would have to purchase the chips from abroad.

Multilateral Export Control Still Has Critical Gaps

The SemiAnalysis report also pointed out that the Biden administration's joint efforts with Japan and the Netherlands to contain China's advanced manufacturing process still leave at least one key gap - China-bound photoresist shipments are still not controlled.

Currently, the vast majority of the global photoresist supply is dominated by a few Japanese makers, and US-based DuPont lags far behind them, ranking only fourth in market share. In many cases, the chemical composition of photoresists requires extensive fine-tuning with end customers concerning the type of exposure machine, process node, feature type and feature size.

If Japan restricts photoresist shipments to China, Chinese foundries may be unable to carry out the exposure process and further chips fabrication. On the surface, the complete lack of restriction on photoresist export to China at the current stage can represent a major loophole that the Biden administration has not taken into consideration, but this could also be an intentional move to allow flexibility in US policies.

SEMI has called for Japan, the Netherlands and other US allies to adopt the same comprehensive trade curbs on Chinese semiconductor firms, just as done by the US, warning that the effect of the actual chips chokehold war against China will otherwise be greatly reduced.

SEMI also noted that the US allies should restrict their engineers from supporting China's semiconductor development, and that without extra restrictions, China will still be able to carry out advanced semiconductor production by using existing equipment, China-made equipment and other components, and can also benefit from proprietary technical know-hows and services provided by non-US professionals.

Apparently, SEMI and SemiAnalysis share the same view that the lack of upstream component supply chain restrictions is a major loophole in the trilateral agreement between the US, Japan and the Netherlands. If the goal is to block China's access to 5/7/14nm process technologies, photoresists and maintenance services by equipment engineers should also be included in their regulatory controls, in addition to the three major types of DUV systems. Otherwise, China will be able to re-configure existing equipment to accelerate the development of its homegrown exposure machines.