CONNECT WITH US
NEWS TAGGED LITHOGRAPHY
Wednesday 16 August 2017
Globalfoundries demos 2.5D HBM solution
Globalfoundries has demonstrated silicon functionality of a 2.5D packaging solution for its 14nm FinFET FX-14 integrated design system for application-specific integrated circuits...
Thursday 3 August 2017
Commentary: Can Samsung Foundry unseat TSMC?
Samsung Foundry has unveiled an aggressive sub-10nm roadmap that scales down to 4nm, and claimed it is looking to unseat United Microelectronics (UMC) as the world's second-largest...
Monday 24 July 2017
Marketech to enjoy robust OEM orders, says report
Taiwan-based Marketech International is set to enjoy a ramp-up of OEM orders from ASML for a component used in the fab tool vendor's extreme ultraviolet (EUV) lithography equipment...
Tuesday 18 July 2017
TSMC expanding number of equipment suppliers for 7nm
Taiwan Semiconductor Manufacturing Company (TSMC) is expanding the number of suppliers of equipment for its 7nm process in a bid to maintain an ecosystem pricing balance, according...
Wednesday 12 July 2017
Brewer Science, Arkema partner to develop high-chi DSA materials for advanced node patterning
Brewer Science has announced the extension of its partnership with Arkema to develop second-generation directed self-assembly (DSA) materials using high-x (chi) block copo...
Friday 16 June 2017
7nm foundry market to heat up in 2018
IC foundries are gearing up for mass production of 7nm chips in 2018, as well as production for 7nm process technology using extreme ultraviolet (EUV), according to market observers...
Monday 12 June 2017
Packaging can extend physical limits of semiconductors, says TSMC chair
Moore's Law will reach its physical limits in 8-10 years, but the development of advanced packaging technology will help extend innovations, according to Morris Chang, chairman of...
Thursday 20 April 2017
ASML secures pull-in of EUV equipment orders
ASML has landed a pull-in of EUV lithography equipment orders with its backlog reaching 21 units, according to the company.
Monday 1 August 2016
HMI posts NT$7.68 EPS for 2Q16
Taiwan-based Hermes Microvision (HMI), a provider of electron beam (e-beam) inspection tools and solutions, saw its second-quarter profits more than double on quarter with EPS reaching...
Wednesday 22 June 2016
UMC buys equipment from ASML
United Microelectronics (UMC) has announced the purchase of a batch of machinery equipment for NT$2.04 billion (US$63.57 million) from lithography system vendor ASML.
Friday 17 June 2016
A win-win for ASML and HMI
ASML through its acquisition of Hermes Microvision (HMI) will further enhance its detection capabilities for extreme ultraviolet (EUV) lithography equipment, while the deal gives...
Thursday 16 June 2016
ASML to acquire Hermes Microvision
ASML and Taiwan-based Hermes Microvision (HMI) have entered into an agreement under which ASML will acquire all outstanding shares of HMI in a cash transaction valued at about NT$100...
Thursday 5 March 2015
Inspection tool maker HMI looks to 25-35% revenue growth in 2015
Hermes Microvision (HMI), which provides electron beam (e-beam) inspection tools and solutions for the production of semiconductors, expects its sales to increase 25-35% in 2015 thanks...
Thursday 26 February 2015
Cymer extends DUV for 14nm with new products
Cymer, an ASML company developing lithography light sources used by chipmakers to pattern advanced semiconductor chips, has announced the shipment of its first XLR 700ix light source...
Thursday 5 February 2015
SK Hynix, Toshiba team up for nanoimprint lithography
SK Hynix and Toshiba have announced they have struck a deal to jointly develop nanoimprint lithography (NIL), a candidate for the next-generation lithography technology.