CONNECT WITH US
NEWS TAGGED EUV
Wednesday 7 June 2023
The allure of Yongin City: South Korea's future semiconductor megacomplex
Yongin City in Gyeonggi province of South Korea is poised to become the world's largest semiconductor hub, as it will accommodate an enormous national semiconductor park to be established...
Wednesday 24 May 2023
Re-engage and regain competitiveness: Q&A with Intel CEO Pat Gelsinger
Intel CEO Pat Gelsinger is in Taiwan for the Intel Vision event, which is aimed at high-level executives and managers from customers and ecosystem partners. In a Q&A with the...
Friday 19 May 2023
Chinese firm develops ArF photoresists for 90-28nm chip production
China's Nanta Opto-electronic Material has successfully developed DUV ArF photoresists that can support production of 90-28nm chips, aiming to serve import-substitution demand for...
Thursday 18 May 2023
Micron to produce EUV DRAM memory in Japan
Micron Technology has announced that it will introduce extreme ultraviolet (EUV) technology to Japan, utilizing this advanced patterning technology to produce its next generation...
Tuesday 16 May 2023
SK Hynix denies using NIL equipment for 3D NAND production in 2025
According to South Korea media ChosunBiz, citing Korean industry sources, SK Hynix is testing nano imprint lithography (NIL) equipment and conducting R&D associated with...
Tuesday 2 May 2023
South Korea developed EUV pellicle with 90% transmittance; commercial use awaits nod from Samsung
South Korean suppliers have developed a EUV pellicle with 90% transmittance. All aspects of the product have reached a level where mass production can begin at any moment. It's just...
Tuesday 25 April 2023
ASML's lack of orders in 2H24 reveals economic doubts as chipmakers ponder delaying or canceling orders
Lithography equipment giant ASML recently announced its financial report for the first quarter of 2023. At this stage, it still met the market's expectations with a solid performance...
Wednesday 19 April 2023
ASML 1Q23 net sales exceed guidance; US market grows in importance
ASML has published its 2023 first-quarter results on April 19.
Tuesday 18 April 2023
Two new chipmaking industry clusters taking shape in Japan
Japan is attempting to revitalize its chipmaking sector and strengthen related industry supply chains. Its current focus is on logic ICs. As a result of this strategy, two new chipmaking...
Monday 17 April 2023
ASML reportedly sees first big EUV equipment order cut from TSMC
ASML reportedly has seen the first cutback in orders for EUV equipment from TSMC, its largest customer, which market insiders speculate is on track to slash such orders by over 40%...
Monday 10 April 2023
Marketech expects stable revenue growth in 2023
Fab toolmaker Marketech International expects to generate flat or slight revenue growth this year, judging from the NT$60 billion (US$1.97 billion) worth of orders it has on hand.
Thursday 6 April 2023
Gudeng expects over 40% revenue surge in 2023
Gudeng Precision Industrial, a semiconductor front-end equipment manufacturer, expects its revenue to exceed NT$6.5 billion (US$211.1 million) in 2023 and rise further to top the...
Monday 27 March 2023
Development of advanced lithography technology (II): Nano imprint lithography (NIL) and directed self-assembly (DSA)
Apart from purely optical methods, there are other ways that can be used to define fine semiconductor patterns.
Monday 27 March 2023
Development of advanced lithography technology (I): extension of the existing equipment path
Lithography technology is a mainstream means used by the semiconductor industry to define fine patterns. At present, the most advanced technology is EUV (extreme ultraviolet), and...
Monday 13 March 2023
Gudeng sees February revenue surge
Gudeng Precision Industrial, a semiconductor front-end equipment manufacturer, has reported its revenue surged 35% sequentially and 54% on year to NT$427 million (US$13.8 million)...