CONNECT WITH US

SMIC, Synopsys deliver 28nm HKMG low-power reference flow

Press release; Jessie Shen, DIGITIMES Asia 0

Semiconductor Manufacturing International (SMIC) and Synopsys have announced immediate availability of their joint 28nm RTL-to-GDSII reference design flow. Developed through deep engineering collaboration between Synopsys and SMIC on the 28nm High-K...

The article requires paid subscription. Subscribe Now