CONNECT WITH US
NEWS TAGGED IMMERSION LITHOGRAPHY
Thursday 11 February 2010
DDR2 contract quotes down 2-5% for 1H February, but DDR3 parts up
Contract quotes for DDR2 chips have declined 2-5% for the first half of February, pushing the price of 2GB DDR2 modules to US$38-41, according to DRAMeXchange. Meanwhile, prices for...
Monday 1 February 2010
Delivery delays may affect revenue growth of Taiwan chip-tool suppliers
Taiwan-based equipment makers have expressed concern that their revenue growth in 2010 will not be as strong as expected because of extended delivery lead times for key semiconductor...
Monday 25 January 2010
Taiwan DRAM makers see delays in immersion litho delivery
Growing delivery lead times for immersion scanner equipment is likely to interrupt Nanya Technology and Inotera Memories' planned technology transition to sub-50nm in 2010, according...
Monday 14 December 2009
Growth in chip equipment market accelerating, says Gartner
Worldwide semiconductor equipment spending is forecast to end the year with a 42.6% decline in 2009, but the market is now in the midst of a very strong growth spurt, according to...
Thursday 15 October 2009
Inotera and Nanya announce machinery purchases
DRAM makers Inotera Memories and Nanya Technology have recently spent NT$8.28 billion (US$257 million) and NT$1.26 billion, respectively, on new equipment, according to company filings...
Monday 12 October 2009
ASML upbeat on micro-lithography growth
Customers have turned more active in placing orders, according to Antonio Mesquida Kusters, Director of Market Intelligence for ASML. Citing predictions by research firms, Kusters...
Thursday 23 July 2009
Cymer installs 60-90W light source at Nikon
Cymer's XLR 600ix light source has been integrated into a scanner at Nikon, and successfully installed at the Asia-based chipmaker. The 60-90 watt (60-90W) immersion light source...
Wednesday 15 July 2009
Elpida reportedly developing die-shrink 50nm process without expenditure on new tools
Elpida Memory has been quietly developing a technology based on its existing 65nm equipment for DRAM production, to enable a die shrink to 50nm, industry sources have claimed. The...
Monday 23 March 2009
Semiconductor lithography sector continues to outperform rest of market, says The Information Network
High-priced equipment enabled the semiconductor lithography sector to grow to 25% of entire front-end equipment processing market in 2008, according to market research firm The Information...
Thursday 25 December 2008
ASML to start shipping EUV systems for sub 22nm node by 2010
Shipments of semiconductor equipment supplier ASM Lithography's (ASML's) extreme ultraviolet (EUV) systems for 22nm and sub-22nm process nodes are expected to kick off by 2010, according...
Wednesday 10 September 2008
Pay to play: DRAM transition to 50nm will not be cheap
With leading DRAM players expected to migrate to 50nm-class production starting in the second half of 2008, cash flows among players will be the judging factor to see whether a transition...
Research Report Database
Summary of Tech Supply Chain News!
Silicon shield doesn't guarantee military deterrence for Taiwan, says DIGITIMES Research
Taiwan IPC makers pushing integrated services to boost profits and to see revenues grow in 2024, says DIGITIMES Research
Global smartphone shipments hit 2023 peak in 4Q23, but decelerate in 1Q24 due to seasonality, says DIGITIMES Research