CONNECT WITH US
NEWS TAGGED ASML
Monday 24 July 2023
Semiconductor equipment giants expanding investments in Taiwan to better serve TSMC ecosystem
TSMC's latest development roadmaps show its advanced manufacturing process technology will remain rooted in Taiwan, with not only its 2nm chip plant already under construction in...
Wednesday 19 July 2023
Wave Power eyeing increased sales to TSMC, ASML for double-digit revenue gain

Taiwan-based Wave Power Technology, dedicated to supplying microwave tubes, industrial magnetrons and variable capacitors, has entered the supply chains of TSMC and ASM,...

Wednesday 19 July 2023
ASML Q2 2023 results show shipment to China speeding up
ASML announced its second-quarter 2023 results on July 19. The Netherlands-based company reported revenue of EUR6.9 billion (US$7.74 billion), with gross margin at 51.3%. Net income...
Tuesday 18 July 2023
Fab toolmakers see orders contract
Semiconductor equipment suppliers are experiencing a decline in customer orders, which may limit their growth in the second half of this year, according to industry sources.
Thursday 13 July 2023
TSMC fab expansion in Taiwan critical for preserving supply chain advantage
The continuous expansion of TSMC's fab in Taiwan, home to the world's largest pure-play foundry, is essential for preserving the country's supply chain advantages, according to industry...
Thursday 13 July 2023
China AI players eyeing integrated systems as GPU replacement
Under the influence of geopolitical factors, China's AI-related industry is facing the challenge that high-end GPU chips supply is being controlled by overseas supplier. In response,...
Wednesday 5 July 2023
Update: ASML denies considering special-version DUV lithography for Chinese customers
To mitigate the impact of Dutch export restrictions on Chinese chipmakers, ASML's Chinese customers were expecting that the Netherlands-based lithography machine maker may release...
Tuesday 4 July 2023
What is the implication of Netherlands' latest semiconductor export ban on China?
The Dutch Minister of Foreign Trade and Development Cooperation, Liesje Schreinemacher, recently issued new export control regulations for Dutch semiconductor equipment that do not...
Friday 30 June 2023
ASML hit with new Dutch limits on chip gear exports to China
The Netherlands published new export controls that will restrict more of ASML Holding NV's chipmaking machines from being sent to China.
Friday 30 June 2023
IMEC and ASML to develop High-NA EUV pilot line for sub-2nm process technology
Belgium-based Interuniversity Microelectronics Centre (IMEC), a world-renown research and innovation hub in nanoelectronics and digital technologies, signed an MOU on June 28 to intensify...
Monday 26 June 2023
Dongjin Semichem set to develop high-NA EUV photoresists in 2H23
South Korean semiconductor chemical materials maker Dongjin Semichem has announced plans to develop a new generation of high-NA EUV photoresists to meet the demand to result from...
Friday 19 May 2023
Why are Huawei, SMIC taking different approaches against US curbs?
China's tech giant Huawei and top semiconductor foundry SMIC apparently adopt different approaches against US chip curbs. The former has just announced its successful development...
Tuesday 25 April 2023
ASML's lack of orders in 2H24 reveals economic doubts as chipmakers ponder delaying or canceling orders
Lithography equipment giant ASML recently announced its financial report for the first quarter of 2023. At this stage, it still met the market's expectations with a solid performance...
Wednesday 19 April 2023
ASML 1Q23 net sales exceed guidance; US market grows in importance
ASML has published its 2023 first-quarter results on April 19.
Monday 17 April 2023
ASML reportedly sees first big EUV equipment order cut from TSMC
ASML reportedly has seen the first cutback in orders for EUV equipment from TSMC, its largest customer, which market insiders speculate is on track to slash such orders by over 40%...