CONNECT WITH US
NEWS TAGGED IC
Friday 25 August 2023
Few Taiwanese IC design firms currently benefit from cloud AI trend
Only a few Taiwanese IC design firms are reaping the benefits from the current cloud AI trend driven by the rise of generative AI, according to industry sources.
Friday 25 August 2023
IC design houses to see PC replacement drive demand in 2024
Taiwan-based IC design houses are optimistic about demand from a new surge of PC replacements anticipated for 2024, driven by replacements for PCs bought during the pandemic and Microsoft's...
Thursday 24 August 2023
IC distributor GMI conservative about 2H23
IC distributor GMI Technology has expressed caution about its prospects for the second half of this year, citing uncertainty in demand from new telecommunication tenders and bids.
Thursday 24 August 2023
AI to bring substantial growth to semiconductor supply chain, says WinWay chairman
Artificial intelligence (AI) will bring substantial growth to the semiconductor industry, according to Mark Wang, chairman for IC test interface specialist WinWay Technology.
Wednesday 23 August 2023
WPG gearing up for AI server boom
WPG, an IC distributor, has stated that the company will not be absent from this wave of AI with its more comprehensive offering for AI servers.
Tuesday 22 August 2023
Unimicron sees limited impact from factory fire
A fire broke out recently at one of Unimicron Technology's factories in Taoyuan, northern Taiwan. The IC substrate and PCB maker expects the incident to have a limited impact on its...
Monday 21 August 2023
Alchip raises revenue outlook for 2023
Taiwan-based Alchip Technologies, dedicated to supplying ASICs, has raised its revenue forecast for 2023 from US$800 million to between US$900 million and US$950 million.
Friday 18 August 2023
Tape COF substrate suppliers see modest demand pick-up
Tape chip-on-film (COF) substrate suppliers including Chipbond Technology and JMC Electronics have seen a modest increase in demand and are expected to see their operations improve...
Friday 18 August 2023
Macroblock expects price war in LED supply chain to end soon
LED driver IC design house Macroblock has seen its Chinese counterparts raise their quotes, which is expected to end the price war, according to the Taiwan-based company.
Thursday 17 August 2023
Sunplus cautious about near-term prospects
IC design house Sunplus Technology has expressed concerns regarding its business prospects for the remainder of 2023 and the first half of 2024, citing low order visibility.
Thursday 17 August 2023
Taiwanese IC design houses become wary of automotive demand
In contrast to the optimism expressed by global IDMs about automotive IC demand, Taiwan-based IC design companies are more cautious due to recent orders with limited lead times, according...
Wednesday 16 August 2023
TSIA 2Q23 data shows recovery in IC design, memory production value
Data released by the Taiwan Semiconductor Industry Association (TSIA) and Industrial Technology Research Institute (ITRI) showd that the worst may have passed for Taiwan's IC design...
Wednesday 16 August 2023
Taiwan's IC maker joins Bloom Energy in global energy expansion
In response to the national low-carbon policy and corporate priorities, Unimicron, a IC substrate manufacturer, recently inaugurated the "large-scale stationary hydrogen fuel cells"...
Wednesday 16 August 2023
Taiwanese PMIC suppliers cautious about demand prospects for 2H23
Global Mixed-Mode Technology (GMT), uPI Semi, and other Taiwan-based power management IC (PMIC) suppliers are conservative about their demand prospects for the second half of this...
Wednesday 16 August 2023
Taiwanese DDI suppliers may turn to Chinese foundries for cost reasons
Display driver IC (DDI) suppliers continue to be under pressure to reduce chip prices, and cost considerations may send them turning to Chinese pure-play foundries that can offer...