CONNECT WITH US
NEWS TAGGED NETHERLANDS
Tuesday 23 April 2024
ASML eyes Dutch expansion after government commits €2.5 billion
ASML Holding NV is weighing options to expand its presence in the Netherlands after the government committed €2.5 billion ($2.7 billion) to infrastructure and education spending...
Friday 29 March 2024
Chinese lithography equipment imports slow
Amid export restrictions imposed by the US and its allies and a move to indigenization, lithography equipment exports to China slowed in the first two months of 2024.
Thursday 28 March 2024
Xi slams technological barriers during Dutch Premier's visit
Chinese President Xi Jinping criticized "technological barriers" during Dutch Prime Minister Mark Rutte's visit to Beijing as the US pushes to tighten controls on ASML Holding NV's...
Wednesday 27 March 2024
Dutch government allocates EUR 2.5 billion to keep ASML in motherland
ASML's future may be decided by Beethoven.
Wednesday 20 March 2024
Go or stay? ASML wades from geopolitics into domestic politics
Following media reports that the Netherlands-based lithography equipment leader ASML is harboring thoughts to move its operations abroad, the country's political climate, especially...
Monday 11 March 2024
Weekly news roundup: Xiaomi 14 Ultra ushers in the era of satellite-enabled smartphones
These are the most-read DIGITIMES Asia stories in the week of March 4 – March 8
Thursday 7 March 2024
US urges allies to squeeze China further on chip technology
The US government is pressing allies including the Netherlands, Germany, South Korea, and Japan to further tighten restrictions on China's access to semiconductor technology, a controversial...
Tuesday 20 February 2024
Netherlands revoked ASML's China export licences fearing destructive Chinese weapons
The Dutch Trade Minister Geoffrey van Leeuwen expressed fears about China's intentions.
Thursday 25 January 2024
China imports over 400 lithography machines from the Netherlands in past five years
As the US and its allies are imposing export restrictions on semiconductor equipment, China increased its chipmaking tool imports over the past year and doubled its imports of lithography...
Wednesday 13 December 2023
ASML and Samsung to invest KRW1 trillion to establish a joint semiconductor R&D center
During the state visit of South Korean President Yoon Suk-yeol to the Netherlands, leaders including Lee Jae-yong, Chairman of Samsung Electronics, and Chey Tae-won, Chairman of SK...
Thursday 30 November 2023
ASML says Fouquet to take over CEO role as Wennink retires
ASML Holding NV plans to appoint Christophe Fouquet as the company's next chief executive officer and president, when its two co-presidents retire in 2024.
Thursday 24 June 2021
Netherlands-based laser tech startup InPhocal to raise fund
Netherlands-based startup InPhocal, which has developed a laser technology for semiconductor cutting, is looking to raise US$2.5 billion during the seed round in 2021.