CONNECT WITH US

TEL expanding the root in Taiwan with talent, technology, and supply chain optimization

Sponsored content 0

The continuous process scaling and moving toward heterogeneous integration have enabled the vigorous innovation in the semiconductor industry in the post-Moore's Law era, which in turn drives the rapid development of 5G/AI/IoT applications. Behind this, semiconductor equipment makers play an important role in helping semiconductor manufactures create larger capacity, faster in speed, lower power consumption, and higher reliability chips with more sophisticated process equipment.

However, through the dawn of emerging technology in intelligence networks, semiconductor equipment makers are facing both new business opportunities and challenges. Roger Chang, executive vice president of Tokyo Electron Taiwan Limited, recently shared his view on TEL's future development direction in an interview, explaining how TEL will stay ahead in the new era by leveraging its existing advantages. In addition, for the important Taiwan market, TEL will focus on talent, technology and supply chain optimization to enhance local services, as well as establish closer relationships with supply chain partners.

Serving the market with comprehensive, powerful portfolios

TEL is the third largest semiconductor equipment maker in the world and has performed with bright color in recent years. According to VLSI research, TEL's YoY growth rate in 2018 grew 25.8%, outperforming the overall market. Regarding this, Chang said that "TEL's product portfolio extend from the front-end coater/developers, etch systems, deposition systems, cleaning systems and to the back-end wafer prober, market share among these product lines are well recognized in the leadership market of either Top 1 or Top 2. With the overwhelming comprehensive capability and diverse products, TEL is able to enjoy better growth momentum when demand turns up."

The rapid advances in AI and big data applications have led the industry to invest heavily in building memory and advanced logic device capacities. Being a leader in semiconductor production equipment market, TEL aims to drive the advanced wafer fabrication technologies. He stressed that, as the industry moves to 7/5 nm and even 3 nm node, semiconductor manufacturing has involved in the stacking of atomic layer deposition, requiring more subtle precision and control capabilities, therefore, equipment suppliers need to enhance their R&D capabilities to meet the challenges.

Funding large sum for R&D investment to maintain competitive edge

In order to meet the industry's demand for more advanced manufacturing technology, TEL has announced that it expects to spend 400 billion yen in R&D investment in the next three years. "R&D is very important for semiconductors with fast technological innovation," Chang said. "We believe that companies that cannot do enough research and development won't be able to survive in the market. Therefore, our R&D investment is high in order to drive continuous innovation in the industry."

TEL's R&D direction will also echo three major trends in semiconductor manufacturing: process scaling, heterogeneous integration, and application-specific design.

Chang explained that the continuous miniaturization of logic and memory devices and moving toward 3D structure will lead to the introduction of diverse new materials and structures. In addition, research and development of new devices such as PCRAM, MRAM, and FeRAM are also in progress. The industry requires atomic level controllability and high-aspect ratio 3D dimension etching and deposition technologies, as well as the within-wafer, wafer-to-wafer, lot-to-lot, and tool-to-tool process variation control and stability.

"Lithography, etching, deposition, and cleaning are very important steps for miniaturization and 3D structure creation, and there are no other semiconductor equipment makers that have these four. With the unique advantage, we are able to keep serving our customers with higher value under the mega trend."

In addition to process scaling, the development of heterogeneous integration has gradually matured. Through multi-functional-die stacking, it has become another important option for the industry to enable higher level of integration. Similarly, TEL will also leverage its excellent technologies to meet the needs of the industry.

Finally, the application-specific design co-optimization is in response to the rise of AI, which will bring new design and manufacturing requirements for chip and memory. As a result, new ecosystems and business models are needed to drive the more efficient AI applications. "This is a new trend and a closer collaborative R&D integration from manufacturing to design. The industry is currently exploring new ways of cooperation. TEL is getting actively involved, and its follow-up development will be anticipated in full.

Enhance Taiwan deployment by strengthening supply chain optimization

TEL has been deeply involved in Taiwan market for more than 20 years. Chang said that as the market demand for new applications continues to expand in the future, TEL will strengthen its resources in Taiwan in terms of talent, technology and supply chain optimization, in a bid to meet the needs of customers and grow together. In addition to invest in the development of advanced process technology, TEL also values greatly on assisting customers to improve the productivity of their legacy 200mm or 300mm equipment.

"For many industries, well established process know-how may just be enough, such as in automotive and IoT applications. Therefore, for the larger amount of installed equipment at customers' sites in Taiwan, it's our responsibility, as well as potential business opportunities, to bring the advanced technology of 300mm tools and implementing into 200mm tools through functional upgrade and refurbishment to help customers improving their productivity and yield."

"TEL recently has announced the cooperation with BRIDG in the United States to jointly develop in this area. This is an important part of the optimization of our supply chain ecosystem, aiming to help customers maximize the value of their legacy equipment and create higher added value. Therefore, understanding the needs of customers and providing in-time localized services will be crucial to our future business."

Moreover, as the process equipment is getting more complicated and sophisticated, talents with cross-domain expertise will be highly demanded. As a result, TEL will strengthen its talent cultivation programs. "TEL has cooperated with numerous internationally renowned R&D institutions and a Technology Center had been established in Taiwan. We have conducted a two-year trainee engineer program for many years. It's hoped to provide more training for our employees," Chang said. "With stronger capability, we will be able to embrace future growth opportunities. We aim to work with customers and suppliers through bilateral innovation and advanced field solutions, creating higher value for the semiconductor industry in order to contribute to the development of a dream-inspiring society."

Roger Chang

Roger Chang, executive vice president of Tokyo Electron Taiwan Limited

DIGITIMES' editorial team was not involved in the creation or production of this content. Companies looking to contribute commercial news or press releases are welcome to contact us.