CONNECT WITH US
NEWS TAGGED 2NM
Friday 26 July 2024
Japan may offer more support for Rapidus 2nm chip ambition
The Japanese government is taking significant steps to support Rapidus in its efforts to become a global leader in semiconductor manufacturing. This includes providing financial support,...
Tuesday 23 July 2024
TSMC braces for potential geopolitical variables
TSMC is believed to have adopted three critical strategies in anticipation of the potential geopolitical influences that may arise from the forthcoming US presidential election.
Thursday 18 July 2024
Key TSMC earnings takeaways: CoWoS supply-demand balance by 2025, N2 and A16 details
C. C. Wei, chairman and CEO of TSMC, introduced the concept of "Foundry 2.0" and provided additional information regarding the company's advanced 2nm and A16 process technologies...
Thursday 18 July 2024
TSMC sees 3nm chip output exceed 100,000 wafers monthly
The monthly output of 3nm chips at TSMC will climb to 125,000 wafers in the second half of this year, up from 100,000 units currently, according to industry sources. The output ramp-up...
Monday 15 July 2024
Samsung accelerates SiPh and BSPDN development to catch up with TSMC, Intel
Samsung Electronics unveiled its next-generation process blueprint at the Samsung Foundry Forum 2024 (SFF 2024), but the response from the semiconductor industry has been somewhat...
Friday 12 July 2024
Samsung bolsters South Korean AI, HPC chip design with expanded DSP support and advanced process technologies
Samsung has announced plans to expand its support for DSPs (Design Service Partners) to help South Korean IC design companies deepen their presence in the HPC (High-Performance Computing)...
Friday 12 July 2024
Samsung scores 2nm PFN orders, as TSMC preps GAA for iPhone 17 launch 2025
Samsung's recent announcement of securing a 2nm chip order from Japan's AI accelerator developer Preferred Networks (PFN) has sparked discussions about the actual production progress...
Wednesday 10 July 2024
Applied Materials unveils material breakthroughs for chip miniaturization and energy-efficient computing
Applied Materials introduced the industry's first use of ruthenium in high-volume production, enabling copper chip wiring to scale to the 2nm node and beyond while reducing resistance...
Wednesday 10 July 2024
Surging TSMC 2nm demand to spark chip investment race in 2025
According to Bloomberg citing UBS forecasts, Taiwan Semiconductor Manufacturing Company (TSMC) could spend up to US$37 billion in capex in 2025, marking a 15.6%...
Tuesday 9 July 2024
Samsung to make 2nm chips for Japan AI firm
Samsung Electronics has announced that it will provide turnkey semiconductor solutions using the 2-nanometer (nm) foundry process and the advanced 2.5D packaging technology Interposer-Cube...
Thursday 20 June 2024
Is Samsung delaying Taylor plant launch to shift to 2nm process?
Samsung Electronics' wafer foundry in Taylor, Texas, initially set to mass-produce 4nm process technology, is reportedly shifting to 2nm processes.
Friday 14 June 2024
Synopsys certifies AI design flows on Samsung 2nm
Synopsys has announced that its AI-driven digital design and analog design flows have achieved certification on Samsung Foundry's SF2 process with multiple test chip tape-outs.
Tuesday 11 June 2024
CEOs of Nvidia, TSMC meet for 3/2nm, CoWoS manufacturing
Nvidia CEO Jensen Huang attended Computex 2024 in Taipei last week, sparking an AI frenzy in Taiwan.
Thursday 6 June 2024
How hard to snatch 2nm foundry orders from TSMC?
Semiconductor foundry companies have started to compete in the 2nm process shortly after their 3nm production lines started humming to mass produce for customers. There is something...
Friday 31 May 2024
Japan considering ways to provide additional financial resources to Rapidus
As previous subsidies of a total of JPY920 billion (nearly US$6 billion) may not be sufficient to help Rapidus achieve its goal of mass-producing 2nm chips, Japan's Ministry of Economy,...