CONNECT WITH US
NEWS TAGGED IC
Wednesday 2 August 2023
VIS remains upbeat about long-term 8-inch foundry market outlook
Despite short-term headwinds, the long-term demand outlook for the 8-inch silicon wafer market remains resilient, according to specialty IC foundry Vanguard International Semiconductor...
Wednesday 2 August 2023
Taiwan, US, and allies' over-emphasis on advanced node IC manufacturing faces blind spots
There is a big blind spot for Taiwan and all other countries dishing out CHIPS Act subsidies only to advanced-node semiconductor manufacturing capacities: innovations on mature nodes,...
Wednesday 2 August 2023
Chipmakers see telecom operators step up Wi-Fi 7 deployment
Telecom operators in Europe and the US have been actively planning the industry's transition to Wi-Fi 7, providing impetus to the development of new products supporting the this standard,...
Tuesday 1 August 2023
Global Unichip cuts 2023 revenue outlook, says report
Global Unichip, an IC design services provider partnering mainly with TSMC, has lowered its revenue growth forecast for 2023 to a single-digit percentage, according to a report by...
Tuesday 1 August 2023
Ardentec sees IDM customers contribute nearly 60% to revenue
Ardentec has seen orders placed by its IDM customers account for nearly 60% of company revenue, allowing the IC testing company to experience stable demand for high-end automotive...
Tuesday 1 August 2023
IC verification and analysis labs see promising future
Taiwanese companies specializing in materials analysis (MA), reliability analysis (RA), and failure analysis (FA) are generally optimistic about their future prospects in light of...
Thursday 27 July 2023
IC lead frame demand for China-developed auto chips to pick up in 2024, says CWTC
Taiwan-based IC lead frame maker Chang Wah Technology (CWTC) expects to gain momentum for its automotive product segment in 2024, driven by major achievements in car chip developments...
Thursday 27 July 2023
Auto chips driving sales for first-tier IDMs; not necessarily so for Taiwanese IC design houses
First-tier IDMs in Europe and North America are optimistic about the auto electronics market, but Taiwan-based IC design houses who have entered the automotive supply chain may not...
Wednesday 26 July 2023
IC designers hold back wafer start orders amid sluggish prospects
Most Taiwan-based IC-design companies have continued to cut or delay their wafer start orders with the upstream foundry houses as their order visibility from downstream customers...
Tuesday 25 July 2023
IC design houses under pressure from customers seeking price cuts
IC design houses are coming under strong pressure from customers for lowering product prices, amid an increasingly conservative outlook for the traditional peak season in the third...
Friday 21 July 2023
Chinese IC designers keen to develop homegrown smart cockpit SoCs
Along with cars moving toward electrification and intelligence, the smart cockpit market is gradually gaining prominence. Currently, many Chinese carmakers adopt intelligent cockpit...
Friday 21 July 2023
IC design houses continue to monitor inventory controls
IC design companies will continue to manage inventory levels through the fourth quarter of 2023 cautiously, setting the tone for the performance of the semiconductor industry during...
Thursday 20 July 2023
IC inspection labs see demand visibility extended to 2024
IC analysis and inspection labs have seen demand visibility from system device vendors and IP design service providers extended to 2024, attributed to increased R&D investment...
Thursday 20 July 2023
Ethernet IC demand rising rapidly
Demand for Ethernet ICs has been increasing rapidly in line with relentless updates of various Internet devices and applications, driving more suppliers, including Taiwan-based IC...
Wednesday 19 July 2023
Airoha, MediaTek team up for AI and network chip solutions
Taiwan-based IC design house Airoha Technology has said it is working with parent company MediaTek to enhance their AI and network IC solutions.