CONNECT WITH US
NEWS TAGGED EUV
Tuesday 23 April 2024
Falling EUV equipment orders suggest China and Intel drive ASML's performance
ASML, a Dutch equipment firm with a stranglehold on lithography equipment and a monopoly on high-end extreme ultraviolet (EUV) exposure machines, has become accustomed to having tens...
Monday 22 April 2024
High-NA EUV delay might cost Samsung its edge against Intel
Intel recently completed the assembly of the industry's first High-NA Extreme Ultraviolet (EUV) lithography equipment and announced plans to start producing 1nm-level semiconductors...
Monday 22 April 2024
Intel Foundry completes assembly of ASML High-NA EUV tools
Intel recently announced that its foundry operations, Intel Foundry, achieved a significant milestone in advanced semiconductor manufacturing by completing the assembly of the industry's...
Thursday 18 April 2024
ASML enters transition year with declining performance across the board
ASML recorded a declining performance in the first quarter of 2024 and expects a better performance in the second half of the year. ASML saw strong sales from memory manufacturers...
Thursday 11 April 2024
ASML to turn a new page as Co-Presidents pass the baton
Coinciding with ASML's 40th anniversary, Martin van den Brink and Peter Wennink, Co-Presidents of Dutch semiconductor equipment manufacturer ASML, are set to retire in...
Tuesday 9 April 2024
Is Intel benchmarking itself against TSMC in its roadmap to restore glory?
How will Intel Foundry (IF) return to the forefront? Is 2024 the time when Intel will catch up with TSMC's 2nm?
Thursday 28 March 2024
AI chip boom to drive sales surge for TOK's ArF and EUV photoresists
Japan's semiconductor chemicals vendor Tokyo Ohka Kogyo (TOK) has stated that the increasing demand for AI chips will drive up sales and market shares of its extreme ultraviolet (EUV)...
Monday 11 March 2024
Experts disagree on cost and efficiency of High-NA EUV
At a recent meeting held in San Jose, California, experts expressed a diverging view on the future of EUV. Some believe that EUV, coupled with double patterning and advanced packaging,...
Tuesday 5 March 2024
Samsung reportedly cooperates with Applied Materials to simply EUV lithography
Samsung Electronics is reportedly collaborating with Applied Materials in hopes of reducing the number of extreme ultraviolet (EUV) process steps, which, if successful, could help...
Monday 4 March 2024
China's photoresist market on the rise, projection shows US$15 bn by 2023
The intricate process of photoresist development encompasses raw material synthesis and formulation refinement, with the synthesis and purification of photoresist raw materials posing...
Thursday 29 February 2024
SK Hynix said to purchase 8 EUV machines for HBM3E processes
SK Hynix plans to invest KRW2 trillion ($1.5 billion) in 2024 to introduce extreme ultraviolet (EUV) lithography equipment to cope with the new generation of memory investment in...
Monday 26 February 2024
Nikon's lithography business seeks to expand its customer base beyond Intel
Semiconductor lithography equipment is one of Nikon's main businesses, selling i-line, ArF immersion, ArF, and KrF lithography machines. Nikon, originally focused on Intel as its...
Thursday 22 February 2024
Intel to adopt high-NA EUV in 14A process manufacturing
At the first conference for its burgeoning foundry business, Intel restated its aim to provide five advanced process nodes in four years. The company also disclosed plans for more...
Tuesday 6 February 2024
TSMC unlikely to adopt High-NA EUV for 2nm, A14 processes
TSMC has not placed orders for high-numerical aperture (High-NA) extreme ultraviolet (EUV) tools and is unlikely to use the technology in 2nm and 1.4nm (A14) process manufacturing,...
Monday 5 February 2024
Roses are red, ultraviolets are blue, Samsung and ASML announce High-NA EUV equipment debut
Samsung Electronics and Dutch equipment manufacturer ASML jointly announced an investment in a semiconductor advanced process development center in South Korea.