CONNECT WITH US
NEWS TAGGED LITHOGRAPHY
Thursday 6 June 2024
Imec, ASML open joint lithography lab
Imec and ASML have jointly announced the inauguration of a High NA EUV lithography lab in Veldhoven, the Netherlands. This lab is operated jointly by the two companies.
Monday 3 June 2024
Weekly news roundup: Russia to begin production of 350nm chips using self-developed EUV lithography machines in 2024
These are the most-read DIGITIMES Asia stories in the week of May 27 – May 31.
Tuesday 28 May 2024
Russia to produce 350nm chips with its self-developed lithography machines in 2024, says Chinese media
Vasily Shpak, Deputy Minister of Industry and Trade of the Russian Federation, was quoted by Ijiwei, a Chinese media focusing on semiconductor news that Russia will soon...
Friday 17 May 2024
ASML's secret sauce for semiconductor success amid challenges in the Angstrom Era
Moore's Law is not advancing as fast as it used to be under 2nm and even into the Angstrom level, and competitors are trying to catch up. Can ASML, the Dutch semiconductor equipment...
Friday 17 May 2024
Nanoimprint lithography, Canon's entry ticket into advanced semiconductor market?
Canon's semiconductor equipment business, despite representing only 7.5% of its revenue in the fiscal year 2023 (January 2023 to December 2023), achieved a profit margin of 18.6%,...
Thursday 9 May 2024
The secret sauce for excellent foundry yields: perseverance, EUV, and respect for supernatural forces
Since the official shipment of the extreme ultraviolet (EUV) lithography machine in 2017, ASML has sold about 200 units of EUV equipment, critical to manufacturing advanced chips.
Tuesday 7 May 2024
What made ASML so successful today: Q&A with Dutch Journalist and author Marc Hijink
In an exclusive video interview with DIGITIMES Asia managing editor Judy Lin (JL), Marc Hijink (MH), the acclaimed Dutch...
Monday 29 April 2024
Weekly news roundup: China's demand for lithography equipment knows no bounds
These are the most-read DIGITIMES Asia stories in the week of April 22 - April 26.
Monday 22 April 2024
China has become an insatiable market for lithography equipment
With ASML recently stating that 20% of their recent orders are held by Chinese customers and nearly half of their revenue comes from China, the future operation trend of the world's...
Monday 8 April 2024
Weekly news roundup: Nikon expresses worries over rising Chinese competition in lithography
These are the most-read DIGITIMES Asia stories in the week of April 1 - April 5.
Wednesday 3 April 2024
Nikon shows concerns about emerging Chinese competitors in lithography
In the global lithography industry dominated by ASML, Nikon, and Canon, Nikon expressed concerns about emerging challenges from China-based competitors.
Friday 29 March 2024
Chinese lithography equipment imports slow
Amid export restrictions imposed by the US and its allies and a move to indigenization, lithography equipment exports to China slowed in the first two months of 2024.
Wednesday 27 March 2024
Nikon sees Chinese EV industry as a key part of its lithography revival
In the fiercely competitive realm of the global lithography market dominated by ASML, Nikon is making strategic inroads. The renowned Japanese company is now supplying lithography...
Tuesday 26 March 2024
Huawei chipset spec leak shows chips made using SMIC 5nm process
Huawei Central website quoted a chipset spec sheet leaked onto the Internet, highlighting that the chip is manufactured using the SMIC 5nm process.
Wednesday 20 March 2024
Go or stay? ASML wades from geopolitics into domestic politics
Following media reports that the Netherlands-based lithography equipment leader ASML is harboring thoughts to move its operations abroad, the country's political climate, especially...